vim-patch:3ec3217f0491

Update runtime files
3ec3217f04

Omit fuzzy-match in pattern.txt.
This commit is contained in:
Jan Edmund Lazo 2021-05-25 20:51:42 -04:00
parent a282a177d3
commit 31ea80649d
No known key found for this signature in database
GPG Key ID: 64915E6E9F735B15
10 changed files with 137 additions and 47 deletions

View File

@ -759,13 +759,15 @@ three lines: >
3:d<CR> is translated into: .,.+2d<CR> 3:d<CR> is translated into: .,.+2d<CR>
< <
Visual Mode and Range *v_:* Visual Mode and Range
*:star-visual-range* *v_:*
{Visual}: Starts a command-line with the Visual selected lines as a {Visual}: Starts a command-line with the Visual selected lines as a
range. The code `:'<,'>` is used for this range, which makes range. The code `:'<,'>` is used for this range, which makes
it possible to select a similar line from the command-line it possible to select a similar line from the command-line
history for repeating a command on different Visually selected history for repeating a command on different Visually selected
lines. lines.
:* *:star* *:star-visual-range*
When Visual mode was already ended, a short way to use the When Visual mode was already ended, a short way to use the
Visual area for a range is `:*`. Visual area for a range is `:*`.

View File

@ -360,6 +360,22 @@ Overview of which map command works in which mode. More details below.
:cmap :cnoremap :cunmap Command-line :cmap :cnoremap :cunmap Command-line
:tmap :tnoremap :tunmap Terminal :tmap :tnoremap :tunmap Terminal
Same information in a table:
*map-table*
Mode | Norm | Ins | Cmd | Vis | Sel | Opr | Term | Lang | ~
Command +------+-----+-----+-----+-----+-----+------+------+ ~
[nore]map | yes | - | - | yes | yes | yes | - | - |
n[nore]map | yes | - | - | - | - | - | - | - |
[nore]map! | - | yes | yes | - | - | - | - | - |
i[nore]map | - | yes | - | - | - | - | - | - |
c[nore]map | - | - | yes | - | - | - | - | - |
v[nore]map | - | - | - | yes | yes | - | - | - |
x[nore]map | - | - | - | yes | - | - | - | - |
s[nore]map | - | - | - | - | yes | - | - | - |
o[nore]map | - | - | - | - | - | yes | - | - |
t[nore]map | - | - | - | - | - | - | yes | - |
l[nore]map | - | yes | yes | - | - | - | - | yes |
COMMANDS MODES ~ COMMANDS MODES ~
Normal Visual+Select Operator-pending ~ Normal Visual+Select Operator-pending ~

View File

@ -1014,13 +1014,6 @@ commands can be combined to create a NewGrep command: >
updated. With the [!] any changes in the current updated. With the [!] any changes in the current
buffer are abandoned. buffer are abandoned.
'f' When the 'f' flag is specified, fuzzy string
matching is used to find matching lines. In this
case, {pattern} is treated as a literal string
instead of a regular expression. See
|matchfuzzy()| for more info about fuzzy
matching.
|QuickFixCmdPre| and |QuickFixCmdPost| are triggered. |QuickFixCmdPre| and |QuickFixCmdPost| are triggered.
A file that is opened for matching may use a buffer A file that is opened for matching may use a buffer
number, but it is reused if possible to avoid number, but it is reused if possible to avoid

View File

@ -50,6 +50,11 @@ Multiple repeats *multi-repeat*
:[range]v[global]/{pattern}/[cmd] :[range]v[global]/{pattern}/[cmd]
Same as :g!. Same as :g!.
Example: >
:g/^Obsolete/d _
Using the underscore after `:d` avoids clobbering registers or the clipboard.
This also makes it faster.
Instead of the '/' which surrounds the {pattern}, you can use any other Instead of the '/' which surrounds the {pattern}, you can use any other
single byte character, but not an alphabetic character, '\', '"' or '|'. single byte character, but not an alphabetic character, '\', '"' or '|'.
This is useful if you want to include a '/' in the search pattern or This is useful if you want to include a '/' in the search pattern or

View File

@ -1,6 +1,7 @@
" Vim filetype plugin file " Vim filetype plugin file
" Language: meson " Language: meson
" License: VIM License " License: VIM License
" Maintainer: Liam Beguin <liambeguin@gmail.com>
" Original Author: Laurent Pinchart <laurent.pinchart@ideasonboard.com> " Original Author: Laurent Pinchart <laurent.pinchart@ideasonboard.com>
" Last Change: 2018 Nov 27 " Last Change: 2018 Nov 27

View File

@ -1,7 +1,7 @@
" Vim filetype plugin file " Vim filetype plugin file
" Language: SystemVerilog " Language: SystemVerilog
" Maintainer: kocha <kocha.lsifrontend@gmail.com> " Maintainer: kocha <kocha.lsifrontend@gmail.com>
" Last Change: 12-Aug-2013. " Last Change: 07-May-2021
if exists("b:did_ftplugin") if exists("b:did_ftplugin")
finish finish
@ -9,3 +9,36 @@ endif
" Behaves just like Verilog " Behaves just like Verilog
runtime! ftplugin/verilog.vim runtime! ftplugin/verilog.vim
let s:cpo_save = &cpo
set cpo&vim
" Add SystemVerilog keywords for matchit plugin.
if exists("loaded_matchit")
let b:match_words =
\ '\<begin\>:\<end\>,' .
\ '\<case\>\|\<casex\>\|\<casez\>:\<endcase\>,' .
\ '\<module\>:\<endmodule\>,' .
\ '\<if\>:`\@<!\<else\>,' .
\ '\<function\>:\<endfunction\>,' .
\ '`ifn\?def\>:`elsif\>:`else\>:`endif\>,' .
\ '\<task\>:\<endtask\>,' .
\ '\<specify\>:\<endspecify\>,' .
\ '\<config\>:\<endconfig\>,' .
\ '\<generate\>:\<endgenerate\>,' .
\ '\<fork\>:\<join\>\|\<join_any\>\|\<join_none\>,' .
\ '\<primitive\>:\<endprimitive\>,' .
\ '\<table\>:\<endtable\>,' .
\ '\<checker\>:\<endchecker\>,' .
\ '\<class\>:\<endclass\>,' .
\ '\<clocking\>:\<endclocking\>,' .
\ '\<gruop\>:\<endgruop\>,' .
\ '\<interface\>:\<endinterface\>,' .
\ '\<package\>:\<endpackage\>,' .
\ '\<program\>:\<endprogram\>,' .
\ '\<property\>:\<endproperty\>,' .
\ '\<sequence\>:\<endsequence\>'
endif
let &cpo = s:cpo_save
unlet s:cpo_save

View File

@ -2,6 +2,7 @@
" Language: Meson " Language: Meson
" License: VIM License " License: VIM License
" Maintainer: Nirbheek Chauhan <nirbheek.chauhan@gmail.com> " Maintainer: Nirbheek Chauhan <nirbheek.chauhan@gmail.com>
" Liam Beguin <liambeguin@gmail.com>
" Original Authors: David Bustos <bustos@caltech.edu> " Original Authors: David Bustos <bustos@caltech.edu>
" Bram Moolenaar <Bram@vim.org> " Bram Moolenaar <Bram@vim.org>
" Last Change: 2019 Oct 18 " Last Change: 2019 Oct 18

View File

@ -2,7 +2,7 @@
" "
" Author: Bram Moolenaar " Author: Bram Moolenaar
" Copyright: Vim license applies, see ":help license" " Copyright: Vim license applies, see ":help license"
" Last Change: 2021 Jan 03 " Last Change: 2021 May 16
" "
" WORK IN PROGRESS - Only the basics work " WORK IN PROGRESS - Only the basics work
" Note: On MS-Windows you need a recent version of gdb. The one included with " Note: On MS-Windows you need a recent version of gdb. The one included with
@ -246,7 +246,9 @@ func s:StartDebug_term(dict)
let s:gdbbuf = gdb_job_info['buffer'] let s:gdbbuf = gdb_job_info['buffer']
let s:gdbwin = win_getid(winnr()) let s:gdbwin = win_getid(winnr())
" Set arguments to be run " Set arguments to be run. First wait a bit to make detecting gdb a bit
" more reliable.
sleep 200m
if len(proc_args) if len(proc_args)
call chansend(s:gdb_job_id, 'set args ' . join(proc_args) . "\r") call chansend(s:gdb_job_id, 'set args ' . join(proc_args) . "\r")
endif endif

View File

@ -1,7 +1,7 @@
" Vim syntax file " Vim syntax file
" Language: dts/dtsi (device tree files) " Language: dts/dtsi (device tree files)
" Maintainer: Daniel Mack <vim@zonque.org> " Maintainer: Daniel Mack <vim@zonque.org>
" Last Change: 2013 Oct 20 " Last Change: 2021 May 15
if exists("b:current_syntax") if exists("b:current_syntax")
finish finish
@ -9,7 +9,7 @@ endif
syntax region dtsComment start="/\*" end="\*/" syntax region dtsComment start="/\*" end="\*/"
syntax match dtsReference "&[[:alpha:][:digit:]_]\+" syntax match dtsReference "&[[:alpha:][:digit:]_]\+"
syntax region dtsBinaryProperty start="\[" end="\]" syntax region dtsBinaryProperty start="\[" end="\]"
syntax match dtsStringProperty "\".*\"" syntax match dtsStringProperty "\".*\""
syntax match dtsKeyword "/.\{-1,\}/" syntax match dtsKeyword "/.\{-1,\}/"
syntax match dtsLabel "^[[:space:]]*[[:alpha:][:digit:]_]\+:" syntax match dtsLabel "^[[:space:]]*[[:alpha:][:digit:]_]\+:"
@ -18,6 +18,38 @@ syntax region dtsCellProperty start="<" end=">" contains=dtsReference,dtsBinar
syntax region dtsCommentInner start="/\*" end="\*/" syntax region dtsCommentInner start="/\*" end="\*/"
syntax match dtsCommentLine "//.*$" syntax match dtsCommentLine "//.*$"
" Accept %: for # (C99)
syn region cPreCondit start="^\s*\zs\(%:\|#\)\s*\(if\|ifdef\|ifndef\|elif\)\>" skip="\\$" end="$" keepend contains=cComment,cCommentL,cCppString,cCharacter,cCppParen,cParenError,cNumbers,cCommentError,cSpaceError
syn match cPreConditMatch display "^\s*\zs\(%:\|#\)\s*\(else\|endif\)\>"
if !exists("c_no_if0")
syn cluster cCppOutInGroup contains=cCppInIf,cCppInElse,cCppInElse2,cCppOutIf,cCppOutIf2,cCppOutElse,cCppInSkip,cCppOutSkip
syn region cCppOutWrapper start="^\s*\zs\(%:\|#\)\s*if\s\+0\+\s*\($\|//\|/\*\|&\)" end=".\@=\|$" contains=cCppOutIf,cCppOutElse,@NoSpell fold
syn region cCppOutIf contained start="0\+" matchgroup=cCppOutWrapper end="^\s*\(%:\|#\)\s*endif\>" contains=cCppOutIf2,cCppOutElse
if !exists("c_no_if0_fold")
syn region cCppOutIf2 contained matchgroup=cCppOutWrapper start="0\+" end="^\s*\(%:\|#\)\s*\(else\>\|elif\s\+\(0\+\s*\($\|//\|/\*\|&\)\)\@!\|endif\>\)"me=s-1 contains=cSpaceError,cCppOutSkip,@Spell fold
else
syn region cCppOutIf2 contained matchgroup=cCppOutWrapper start="0\+" end="^\s*\(%:\|#\)\s*\(else\>\|elif\s\+\(0\+\s*\($\|//\|/\*\|&\)\)\@!\|endif\>\)"me=s-1 contains=cSpaceError,cCppOutSkip,@Spell
endif
syn region cCppOutElse contained matchgroup=cCppOutWrapper start="^\s*\(%:\|#\)\s*\(else\|elif\)" end="^\s*\(%:\|#\)\s*endif\>"me=s-1 contains=TOP,cPreCondit
syn region cCppInWrapper start="^\s*\zs\(%:\|#\)\s*if\s\+0*[1-9]\d*\s*\($\|//\|/\*\||\)" end=".\@=\|$" contains=cCppInIf,cCppInElse fold
syn region cCppInIf contained matchgroup=cCppInWrapper start="\d\+" end="^\s*\(%:\|#\)\s*endif\>" contains=TOP,cPreCondit
if !exists("c_no_if0_fold")
syn region cCppInElse contained start="^\s*\(%:\|#\)\s*\(else\>\|elif\s\+\(0*[1-9]\d*\s*\($\|//\|/\*\||\)\)\@!\)" end=".\@=\|$" containedin=cCppInIf contains=cCppInElse2 fold
else
syn region cCppInElse contained start="^\s*\(%:\|#\)\s*\(else\>\|elif\s\+\(0*[1-9]\d*\s*\($\|//\|/\*\||\)\)\@!\)" end=".\@=\|$" containedin=cCppInIf contains=cCppInElse2
endif
syn region cCppInElse2 contained matchgroup=cCppInWrapper start="^\s*\(%:\|#\)\s*\(else\|elif\)\([^/]\|/[^/*]\)*" end="^\s*\(%:\|#\)\s*endif\>"me=s-1 contains=cSpaceError,cCppOutSkip,@Spell
syn region cCppOutSkip contained start="^\s*\(%:\|#\)\s*\(if\>\|ifdef\>\|ifndef\>\)" skip="\\$" end="^\s*\(%:\|#\)\s*endif\>" contains=cSpaceError,cCppOutSkip
syn region cCppInSkip contained matchgroup=cCppInWrapper start="^\s*\(%:\|#\)\s*\(if\s\+\(\d\+\s*\($\|//\|/\*\||\|&\)\)\@!\|ifdef\>\|ifndef\>\)" skip="\\$" end="^\s*\(%:\|#\)\s*endif\>" containedin=cCppOutElse,cCppInIf,cCppInSkip contains=TOP,cPreProc
endif
syn region cIncluded display contained start=+"+ skip=+\\\\\|\\"+ end=+"+
syn match cIncluded display contained "<[^>]*>"
syn match cInclude display "^\s*\zs\(%:\|#\)\s*include\>\s*["<]" contains=cIncluded
"syn match cLineSkip "\\$"
syn cluster cPreProcGroup contains=cPreCondit,cIncluded,cInclude,cDefine,cErrInParen,cErrInBracket,cUserLabel,cSpecial,cOctalZero,cCppOutWrapper,cCppInWrapper,@cCppOutInGroup,cFormat,cNumber,cFloat,cOctal,cOctalError,cNumbersCom,cString,cCommentSkip,cCommentString,cComment2String,@cCommentGroup,cCommentStartError,cParen,cBracket,cMulti,cBadBlock
syn region cDefine start="^\s*\zs\(%:\|#\)\s*\(define\|undef\)\>" skip="\\$" end="$" keepend contains=ALLBUT,@cPreProcGroup,@Spell
syn region cPreProc start="^\s*\zs\(%:\|#\)\s*\(pragma\>\|line\>\|warning\>\|warn\>\|error\>\)" skip="\\$" end="$" keepend contains=ALLBUT,@cPreProcGroup,@Spell
hi def link dtsCellProperty Number hi def link dtsCellProperty Number
hi def link dtsBinaryProperty Number hi def link dtsBinaryProperty Number
hi def link dtsStringProperty String hi def link dtsStringProperty String
@ -26,5 +58,21 @@ hi def link dtsLabel Label
hi def link dtsNode Structure hi def link dtsNode Structure
hi def link dtsReference Macro hi def link dtsReference Macro
hi def link dtsComment Comment hi def link dtsComment Comment
hi def link dtsCommentInner Comment hi def link dtsCommentInner Comment
hi def link dtsCommentLine Comment hi def link dtsCommentLine Comment
hi def link cInclude Include
hi def link cPreProc PreProc
hi def link cDefine Macro
hi def link cIncluded cString
hi def link cString String
hi def link cCppInWrapper cCppOutWrapper
hi def link cCppOutWrapper cPreCondit
hi def link cPreConditMatch cPreCondit
hi def link cPreCondit PreCondit
hi def link cCppOutSkip cCppOutIf2
hi def link cCppInElse2 cCppOutIf2
hi def link cCppOutIf2 cCppOut
hi def link cCppOut Comment

View File

@ -2,6 +2,7 @@
" Language: Meson " Language: Meson
" License: VIM License " License: VIM License
" Maintainer: Nirbheek Chauhan <nirbheek.chauhan@gmail.com> " Maintainer: Nirbheek Chauhan <nirbheek.chauhan@gmail.com>
" Liam Beguin <liambeguin@gmail.com>
" Last Change: 2019 Oct 18 " Last Change: 2019 Oct 18
" Credits: Zvezdan Petkovic <zpetkovic@acm.org> " Credits: Zvezdan Petkovic <zpetkovic@acm.org>
" Neil Schemenauer <nas@meson.ca> " Neil Schemenauer <nas@meson.ca>
@ -17,11 +18,7 @@
" let meson_space_error_highlight = 1 " let meson_space_error_highlight = 1
" "
" For version 5.x: Clear all syntax items. if exists("b:current_syntax")
" For version 6.x: Quit when a syntax file was already loaded.
if version < 600
syntax clear
elseif exists("b:current_syntax")
finish finish
endif endif
@ -32,8 +29,9 @@ set cpo&vim
" http://mesonbuild.com/Syntax.html " http://mesonbuild.com/Syntax.html
syn keyword mesonConditional elif else if endif syn keyword mesonConditional elif else if endif
syn keyword mesonRepeat foreach endforeach syn keyword mesonRepeat foreach endforeach
syn keyword mesonOperator and not or syn keyword mesonOperator and not or in
syn keyword mesonStatement continue break
syn match mesonComment "#.*$" contains=mesonTodo,@Spell syn match mesonComment "#.*$" contains=mesonTodo,@Spell
syn keyword mesonTodo FIXME NOTE NOTES TODO XXX contained syn keyword mesonTodo FIXME NOTE NOTES TODO XXX contained
@ -117,10 +115,12 @@ syn keyword mesonBuiltin
\ subdir \ subdir
\ subdir_done \ subdir_done
\ subproject \ subproject
\ summary
\ target_machine \ target_machine
\ test \ test
\ vcs_tag \ vcs_tag
\ warning \ warning
\ range
if exists("meson_space_error_highlight") if exists("meson_space_error_highlight")
" trailing whitespace " trailing whitespace
@ -130,31 +130,20 @@ if exists("meson_space_error_highlight")
syn match mesonSpaceError display "\t\+ " syn match mesonSpaceError display "\t\+ "
endif endif
if version >= 508 || !exists("did_meson_syn_inits") " The default highlight links. Can be overridden later.
if version <= 508 hi def link mesonStatement Statement
let did_meson_syn_inits = 1 hi def link mesonConditional Conditional
command -nargs=+ HiLink hi link <args> hi def link mesonRepeat Repeat
else hi def link mesonOperator Operator
command -nargs=+ HiLink hi def link <args> hi def link mesonComment Comment
endif hi def link mesonTodo Todo
hi def link mesonString String
" The default highlight links. Can be overridden later. hi def link mesonEscape Special
HiLink mesonStatement Statement hi def link mesonNumber Number
HiLink mesonConditional Conditional hi def link mesonBuiltin Function
HiLink mesonRepeat Repeat hi def link mesonConstant Number
HiLink mesonOperator Operator if exists("meson_space_error_higlight")
HiLink mesonComment Comment hi def link mesonSpaceError Error
HiLink mesonTodo Todo
HiLink mesonString String
HiLink mesonEscape Special
HiLink mesonNumber Number
HiLink mesonBuiltin Function
HiLink mesonConstant Number
if exists("meson_space_error_highlight")
HiLink mesonSpaceError Error
endif
delcommand HiLink
endif endif
let b:current_syntax = "meson" let b:current_syntax = "meson"